MyLam
Lam Research Expands Its Portfolio for Atomic-Scale Processing
July 07, 2014

SAN FRANCISCO, CA -- (Marketwired) -- 07/07/14 -- Lam Research Corp.(NASDAQ: LRCX), a major global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today announced the addition of atomic layer etch (ALE) to its portfolio of atomic layer deposition (ALD) products. The new production-worthy ALE capability on the 2300® Kiyo® F Series conductor etch system delivers atomic-scale variability control to enable next-generation wafer processing. Together with Lam's VECTOR® ALD Oxide product for dielectric film ALD and ALTUS® systems for tungsten metal film ALD, these products support the industry's shift toward manufacturing chips at the atomic scale, where every atom matters.

As feature sizes continue to shrink and new device architectures are introduced, controlling process variability in manufacturing becomes much more challenging. Looking ahead to next-generation requirements, feature dimensions will soon have tolerances that are on the order of a few atoms. At the same time, device aspect ratios continue to increase, and topographies are becoming even more complicated. For the most advanced structures, conventional plasma etch and deposition processes are unable to meet these requirements, and new approaches are needed. ALE and ALD provide a solution by using cycles of multi-step processes that deposit or remove a few atomic layers at a time, thereby delivering precise control. The challenge is to deliver sufficient productivity to make these processes suitable for increasingly cost-sensitive manufacturing environments.

Lam's new ALE capability on the 2300 Kiyo F Series conductor etch system provides both the productivity and technology needed. The product leverages fast gas switching and advanced plasma techniques in the reactor to boost throughput, while dynamic RF bias enables the directional etching required to remove material in high aspect ratio (deep and narrow) features. As the latest offering in Lam's market-leading Kiyo family, the 2300 Kiyo F Series system continues to provide superior uniformity and repeatability enabled by a symmetrical chamber design, advanced electrostatic chuck technology, and independent process tuning features.

The recently announced VECTOR ALD Oxide system provides dielectric films used for the liners and spacers needed in FinFET structures and through-silicon vias (TSVs), as well as for spacers in multiple patterning schemes. The product reduces variability through atomic-scale control and deposits highly conformal ALD films, even for challenging high aspect ratio features. The VECTOR ALD Oxide system also provides overall cost of ownership benefits with productivity that is comparable to or better than batch furnaces, along with the cycle-time benefits of single-wafer processing.

Lam's industry-leading ALTUS product family is the benchmark for tungsten film production and has been in use for a number of years for the atomic-layer deposition of tungsten and tungsten-nitride metals. Proprietary deposition technology and system architecture enable the formation of highly conformal barrier and metal films that offer low resistivity. In addition, the ALTUS ALD process is able to completely fill the re-entrant structures that are commonly found in advanced memory and logic applications.

"As the industry continues to aggressively scale device dimensions, new methods of manufacturing are needed -- particularly for the etch and deposition processes that will create those features," said Dave Hemker, senior vice president and chief technology officer at Lam Research. "Through continuous innovation, we are meeting those needs with new atomic-scale processing capabilities that provide chipmakers with advanced technology, process control, and productivity that enable next-generation device manufacturing."

Caution Regarding Forward-Looking Statements
Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to, statements concerning the performance of Lam's products, such as their ability to provide the productivity and technology requirements for atomic scale processing, their ability to reduce variability in customer applications and their process results compared to the results delivered by other methods or equipment, as well as Lam's ability to meet customer needs, and Lam's ability to provide technology, process control and productivity that enable next generation device manufacturing. Such forward looking statements are based on current beliefs and expectations and are subject to risks, uncertainties and changes in condition, significance, value and effect, including those discussed in Lam's annual report on Form 10-K under the heading "Risk Factors" as well as in other documents filed by Lam with the Securities and Exchange Commission. Such risks, uncertainties and changes in condition, significance, value and effect could cause actual results to differ materially from those expressed herein and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward looking statements, which speak only as of the dates made and of information reasonably known to Lam as of the dates the statements were made. We undertake no obligation to release the results of any revisions to these forward looking statements which may be made to reflect events or circumstances which occur after the date hereof or to reflect the occurrence or effect of anticipated or unanticipated events.

About Lam Research
Lam Research Corp.(NASDAQ: LRCX) is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Lam's broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand, resulting in smaller, faster, and more power-efficient chips. Through collaboration, continuous innovation, and delivering on commitments, Lam is transforming atomic-scale engineering and enabling its customers to shape the future of technology. Based in Fremont, Calif.Lam Research is an S&P 500® company whose common stock trades on the NASDAQ® Global Select Market™ under the symbol LRCX. For more information, please visit http://www.lamresearch.com.

Lam Research Contact:
Bob Climo
Corporate Communications
+1-510-572-5048
bob.climo@lamresearch.com

Source: Lam Research Corporation

 

News Provided by Acquire Media

circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube