MyLam
Lam's New Products Deliver Critical Capability for Building 3D NAND Memory Devices
July 07, 2014

SAN FRANCISCO, CA -- (Marketwired) -- 07/07/14 -- Lam Research Corp.(NASDAQ: LRCX), a major global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today unveiled its latest thin film deposition and plasma etch products for 3D NAND fabrication. As memory customers begin ramping production of these new devices, greater process control is needed for cost-effective manufacturing. Lam's new systems address this need for three of the most critical steps in forming 3D NAND memory cells: stack deposition (VECTOR® Q Strata™), vertical channel etching (2300® Flex™ F Series), and tungsten wordline deposition (ALTUS® Max ICEFill™).

The 3D NAND memory structures now moving to production involve numerous pairs of stacked films. Process variability on both the horizontal and vertical planes must be minimized for critical steps so that each memory cell in the final device delivers similar performance. Otherwise, variation in one step can be transferred and multiplied in subsequent steps, compounding errors and leading to poor device performance and low product yield. With 40 or more pairs of films in the stack, carefully managing even slight process fluctuations is essential. Lam's new products address these stringent control requirements.

The new VECTOR Q Strata PECVD (plasma enhanced chemical vapor deposition) system is used for depositing multilayer film stacks. For this critical 3D NAND process step, the system can perform both oxide/nitride (ONON) and oxide/polysilicon (OPOP) film stack deposition. To deposit the ultra-smooth, uniform films required to avoid compounding errors, the system's matched chambers deliver superior defectivity, film stress, and wafer bow performance. In addition, the VECTOR Q Strata also provides industry-leading productivity with the highest throughput per square meter of fab area available today. As the number of layers in these stacks continues to grow, high productivity is increasingly important for cost-effective production.

Once the stack of paired films is deposited, Lam's 2300 Flex F Series dielectric etch product is used to create a vertical channel through the stack. The new system can etch through high aspect ratio structures with minimal distortion or sidewall damage, while also tightly controlling etch profile uniformity across the wafer. This capability is critical since even small deviations can cause channel dimensions to differ from cell to cell, resulting in device performance variation. A proprietary high ion energy source with modulation of energies enables these results.

The latest in Lam's market-leading tungsten deposition product line, the ALTUS Max ICEFill system controls variability by providing void-free fill of the geometrically complex 3D NAND wordlines. Using a proprietary filling technique, the new system creates the tungsten wordlines with an inside-out atomic layer deposition (ALD) process. The ICEFill process completely fills the lateral (horizontal) lines without any voids, while at the same time minimizing deposition in the vertical channel area. As a result, both electrical performance and yield are enhanced.

"By focusing on collaboration at Lam Research, we are innovating faster and more effectively to deliver the enabling capabilities our customers need," said Rick Gottscho, executive vice president of Global Products. "With the support and expertise of our customers and research partners, Lam now offers three products -- VECTOR Q Strata, 2300 Flex F Series, and ALTUS Max ICEFill -- that are playing critical roles in the development and production ramp of 3D NAND memory devices."

Caution Regarding Forward-Looking Statements

Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to, statements concerning the performance of Lam's products, such as their ability to address the customers' control requirements, the quality of their performance, the throughput users will obtain in operation, their ability to etch without distortion or damage, their control over etch profile uniformity across the wafer, and their ability to fill lines without voids, as well as Lam's ability to innovate faster and more effectively, its ability to deliver capabilities needed by the customer, and the criticality of the roles played by Lam's tools in the customers' product lines. Such forward looking statements are based on current beliefs and expectations and are subject to risks, uncertainties and changes in condition, significance, value and effect, including those discussed in Lam's annual report on Form 10-K under the heading "Risk Factors" as well as in other documents filed by Lam with the Securities and Exchange Commission. Such risks, uncertainties and changes in condition, significance, value and effect could cause actual results to differ materially from those expressed herein and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward looking statements, which speak only as of the dates made and of information reasonably known to Lam as of the dates the statements were made. We undertake no obligation to release the results of any revisions to these forward looking statements which may be made to reflect events or circumstances which occur after the date hereof or to reflect the occurrence or effect of anticipated or unanticipated events.

About Lam Research

Lam Research Corp.(NASDAQ: LRCX) is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Lam's broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand, resulting in smaller, faster, and more power-efficient chips. Through collaboration, continuous innovation, and delivering on commitments, Lam is transforming atomic-scale engineering and enabling its customers to shape the future of technology. Based in Fremont, Calif.Lam Research is an S&P 500® company whose common stock trades on the NASDAQ® Global Select Market™ under the symbol LRCX. For more information, please visit http://www.lamresearch.com.

Image Available: http://www.marketwire.com/library/MwGo/2014/7/7/11G017888/Images/Lam_Research_3D_NAND_Critical_Steps-25753135090.jpg

Lam Research Contact:
Bob Climo 
Corporate Communications 
+1-510-572-5048
bob.climo@lamresearch.com

Source: Lam Research Corporation

 

 

News Provided by Acquire Media

circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube