MyLam
How Etch Is Evolving to Meet the Demands of the AI Era
Nov 8, 2023
|

Summary: 

The rise of artificial intelligence (AI) has placed significant demands on semiconductor performance, particularly in the realm of etching technology. AI requires massive amounts of data for training, necessitating high levels of parallel processing, non-volatile memory, and fast data transfer rates.  

To achieve these capabilities advanced devices are designed in three dimensions, leading to the need for novel etching techniques. Perpendicular etching, which involves removing materials in multiple directions, is essential for crafting gate-all-around (GAA) transistor architectures and precise modification of atomic layers. Aspect-ratio-dependent etching is crucial for the transition from 2D to 3D NAND architecture, enabling the efficient storage capacity increase by etching through numerous layers. 

Etch technologies have evolved to address these challenges, using energetic ions, temperature controls, and innovative chemistries. Furthermore, AI is influencing etch development through predictive modeling, virtual process development, and AI-assisted R&D and manufacturing, significantly reducing costs and accelerating the development of optimal etching processes. 

Read the complete article in EE Times. 

circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube