MyLam
Advanced Search
  • Jason Sheilds
    Lam Research Vice President of Equipment Intelligence®
    |
    Jun 7, 2021
    |

    The pervasive use of technology has brought about a tremendous growth in data as well as an explosion in scaling and complexity of integrated circuits. That trend continues as the focus on...

  • Lam Research
    microprocessor graphic
    |
    Jun 1, 2021
    |

    We take microprocessors for granted. Most people who aren’t deeply involved in technology may have no idea all of the places where a microprocessor shows up in their lives. It’s not just their...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    stock photo man speaking on stage
    |
    May 24, 2021
    |

    Engineers, scientists, and industry professionals will attend the 239th Electrochemistry Society (ECS) Meeting and the 18th International Meeting on Chemical Sensors (IMCS), held May 30 to June 3....

  • Radha Nayak
    Vice President of spares business development in the Customer Support Business Group (CSBG) at Lam Research
    Lam Silicon Parts icon
    |
    May 10, 2021
    |

    Silicon chip manufacturing has always included etching as a critical processing step. But, increasingly, extremely high-aspect-ratio etching has been needed for 3D flash and DRAM chips, which have...

  • Rich Wise
    Vice President and General Manager of the Dry Resist product group at Lam
    |
    Mar 1, 2021
    |

    As chipmakers move to advanced technology nodes, they are challenged to resolve ever finer features. One of the major roadblocks involves the material used to transfer chip design to the wafer;...

  • Nerissa Draeger, PhD
    Director of University Engagements in the Office of the CTO at Lam Research
    university engagement graphic
    |
    Feb 22, 2021
    |

    Lam Research collaborates with universities and academic research consortia by supporting research, building relationships, and assisting graduate and undergraduate students with thesis awards,...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    stock photo man speaking on stage
    |
    Feb 16, 2021
    |

    The latest developments in patterning will be on stage at the upcoming SPIE Advanced Lithography, held as a digital forum February 22-26. Patterning – the set of steps that transfers chip...

  • Libra White
    Senior Public Relations Manager at Lam Research
    woman in front of machine in lab
    |
    Jan 27, 2021
    |

    With more than a decade of industry leadership in high aspect ratio etching, Lam now introduces Vantex™, the latest in dielectric etch technology. Designed from the ground up specifically for...

  • Joanne Lee
    Communications Manager for Korea at Lam Research
    SEMICON Korea logo
    |
    Jan 19, 2021
    |

    To kick-off the new year, SEMICON Korea is bringing together industry leaders throughout the semiconductor supply chain. Held February 3-12, participants can learn more about artificial...

  • Lam Blog Staff
    collage of photos from 2020 stories
    |
    Jan 4, 2021
    |

    To kick-off the new year, we thought we’d share some of our favorite stories from 2020. While not exhaustive, this short list features articles that help put technology concepts into perspective...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube