MyLam
Advanced Search
  • Clara Cheang
    Senior Communications Specialist for Southeast Asia at Lam Research
    SEMICON Southeast Asia Icon
    |
    Apr 29, 2019
    |

    On May 7, 2019, semiconductor leaders and industry experts from around the world will convene at the Malaysia International Trade & Exhibition Centre (MITEC) in Kuala Lumpur, Malaysia to showcase...

  • Lam Blog Staff
    A close-up of a wafer.
    |
    Apr 24, 2019
    |

    Addressing a need for self-maintaining semiconductor equipment, Lam has developed an etch productivity solution that extends the mean time between cleans (MTBC), a major limiter of etch system...

  • Steve Proia
    A director of business development and sales at Silfex, a division of Lam Research
    Silicon rock image
    |
    Mar 25, 2019
    |

    More than most industries, ours is identified with a single element, silicon. Consider the self-adopted naming conventions of all the places that want to be recognized as members of the...

  • Michelle Bourke
    Smart Cars Tech Icon
    |
    Mar 19, 2019
    |

    New sensing and actuating technologies for cars are introducing a new generation of 200 mm tools. Michelle Bourke, strategic marketing director in the customer support group, shares her thoughts...

  • Yan Hu
    Senior Communications Manager for China at Lam Research
    Semicon China 2019 Logo
    |
    Mar 4, 2019
    |

    At this year’s SEMICON China, industry leaders from around the globe will come together for this fast-growing and dynamic microelectronics market to view products, technologies and brands that...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    Lam Conference Speaker Image
    |
    Feb 11, 2019
    |

    The SPIE Advanced Lithography symposium brings together leaders across the semiconductor industry to discuss the latest challenges in lithography and patterning. Held at the San Jose Convention...

  • Bill Lee
    A senior marketing director in the deposition product group at Lam Research
    ALD Tungsten 3D Example Image
    |
    Jan 22, 2019
    |

    Our increasingly connected and ever “smarter” world generates increasing amounts of data, putting pressure on manufacturers who face new technical challenges in delivering the increasing...

  • Joanne Lee
    Communications Manager for Korea at Lam Research
    Semicon Korea 2019 Logo
    |
    Jan 10, 2019
    |

    As one of the largest tradeshows and exhibitions in the region, SEMICON Korea brings together the best and the brightest of the semiconductor industry. Held from January 23 to January 25 at COEX,...

  • Lam Blog Staff
    Lam Top Posts 2018 Icon
    |
    Jan 2, 2019
    |

    Welcome back to the Lam Blog! To help start the new year, we want to share some of our favorite stories from 2018. Some of these articles highlight the driving forces in our industry, such as...

  • Yan Hu
    Senior Communications Manager for China at Lam Research
    iphone in front of telephone
    |
    Dec 10, 2018
    |

    Parents sharing stories about their childhoods and the miles of snow they had to walk through to get to school is not a new pastime. In fact, these anecdotes are often used to compare current...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube