MyLam
Advanced Search
  • Kerry Farrell
    Senior Director of Corporate Communications at Lam Research
    Supply Chain graphic
    |
    Sep 20, 2018
    |

    Lam recently hosted its 2018 Supplier Day to thank suppliers for their support and contributions. The remarkable pace of the semiconductor industry depends on a highly skilled global supply chain....

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    60 years in a square graphic
    |
    Sep 17, 2018
    |

    This month, we celebrate the 60th anniversary of the integrated circuit (IC). On September 12, 1958, Jack Kilby produced a circuit containing both active and passive components fabricated from...

  • Kelly Lymberopoulos
    Senior Communications Specialist
    child with open book in front of chalk board
    |
    Sep 10, 2018
    |

    Children took over some key areas of our operations this summer during family days. Youngsters could be seen roaming the halls, working in labs, spinning in office chairs, and learning their way...

  • Lam Blog Staff
    ACS publication cover photo
    |
    Sep 4, 2018
    |

    Atomic layer etching (ALE) is one of the chipmaking processes that makes our data-driven world possible. After being confined to the laboratory for decades, ALE has awakened to become the most...

  • Sandra Liu
    SEMICON Taiwan logo
    |
    Aug 27, 2018
    |

    This fall, SEMICON Taiwan, the largest microelectronics event in Taiwan, will welcome more than 40,000 visitors from around the globe. Taking place September 5-7 at the Taipei Nangang Exhibition...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube