MyLam
Advanced Search
  • Joanne Lee
    Communications Manager for Korea at Lam Research
    stock photo man speaking on stage
    |
    Sep 30, 2019
    |

    The 21st Semiconductor Exhibition (SEDEX) will be held this year at COEX in Seoul, Korea, October 8-11. The tradeshow will cover the full spectrum of the semiconductor industry supply chain; it...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    stock photo man speaking on stage
    |
    Sep 30, 2019
    |

    The fall meeting of the Electrochemical Society will be held October 13-17 in Atlanta, Georgia. A forum for the latest scientific and technical developments in both electrochemistry and solid...

  • Sandra Liu
    kid in front of laptop
    |
    Sep 23, 2019
    |

    At Lam Research, having a balanced home and family life are integral to being a place where successful people want to work. That philosophy is emulated in many ways at Lam, but none are more fun...

  • Nerissa Draeger, PhD
    Director of University Engagements in the Office of the CTO at Lam Research
    The image says
    |
    Sep 16, 2019
    |

    You don’t have to look very far in the semiconductor world before you see the word “scaling.” Perhaps you read an industry news article headline about transistor scaling – how those nearly...

  • Kerry Farrell
    Senior Director of Corporate Communications at Lam Research
    supplier day 2019 logo
    |
    Sep 11, 2019
    |

    In appreciation for the contributions of our suppliers, Lam recently hosted our annual Supplier Day with a focus on strengthening collaborative partnerships and discussing opportunities for supply...

  • Frances Huang
    Communications Program Manager for Taiwan at Lam Research
    SEMICON Taiwan logo
    |
    Sep 9, 2019
    |

    The largest microelectronics event in Taiwan is coming to TaiNEX this fall, welcoming more than 45,000 visitors from around the world. From September 18-20, leaders from the semiconductor industry...

  • Tina Correia
    Chief Accounting Officer and Head of Corporate Finance and Investor Relations at Lam Research
    abstract photo of triangles
    |
    Sep 3, 2019
    |

    At an industry and investor event held during the August 2019 Flash Memory Summit, Lam management made the case for why semiconductor innovation needs to accelerate in the 5G era and how Lam is...

  • Kari Harvey
    University Program Manager
    internship graphic stock photo
    |
    Aug 29, 2019
    |

    When our interns come to Lam, they join a community that loves to push the boundaries of what is possible. With opportunities to learn from world class engineers, design products that are...

  • Jami Haaning
    A Manager for Corporate Social Responsibility at Lam Research
    hands holding a leaf
    |
    Aug 19, 2019
    |

    At Lam, we have a long history of committing our support to sustainability. Our Environmental Health and Safety (EHS) and Corporate Social Responsibility (CSR) teams seek out ways to make a...

  • Lam Blog Staff
    A graphic representation of 3D NAND scaling up
    |
    Aug 7, 2019
    |

    With the introduction of two new products for 3D NAND manufacturing, Lam expands its stress management product portfolio. The VECTOR DT® PECVD and EOS® GS wet etch systems enable continued 3D...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube