MyLam
Advanced Search
  • Lam Research
    tablet on green screen
    |
    Jul 15, 2019
    |

    Food security is a growing concern. With the world’s population forecast to increase from 7.6 billion in 2017 to 9.8 billion by 2050, it’s estimated that an additional billion metric tons of...

  • Sharma Pamarthy
    A Senior Director in Business Development and Product Marketing at Lam Research
    A technician repairing wafer fabrication equipment
    |
    Jul 12, 2019
    |

    The rapid pace of semiconductor evolution places huge demands on the companies making the equipment used to build integrated circuits. With each new silicon node comes a host of new requirements,...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    stock photo man speaking on stage
    |
    Jul 8, 2019
    |

    The AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) featuring the 6th International Atomic Layer Etching Workshop (ALE 2019) will take place Sunday, July 21–Wednesday,...

  • Lam Blog Staff
    5 year anniversary logo
    |
    Jun 28, 2019
    |

    Five years ago, we published our first blog article here in the Lam newsroom. Over the years, we’ve used this space to share information about our company, our culture, and what it’s like to...

  • Sandra Liu
    SEMICON West logo
    |
    Jun 24, 2019
    |

    Gain insights, innovations, and intelligence in San Francisco this July 9-11 at the annual SEMICON West tradeshow. Industry leaders from around the world will gather for more than 80 hours of...

  • Jami Haaning
    A Manager for Corporate Social Responsibility at Lam Research
    Lam CSR cover photo
    |
    Jun 18, 2019
    |

    Lam’s 5th annual corporate social responsibility (CSR) report features updates on our continued progress against our 2020 environmental commitments, investments in our employees’ career...

  • Lam Blog Staff
    light graphic
    |
    Jun 10, 2019
    |

    Richard Gottscho, executive vice president and CTO of Lam Research, sat down with Semiconductor Engineering to talk about how to utilize more data from sensors in manufacturing equipment, the...

  • Lam Blog Staff
    A human looking closely at a microchip
    |
    Jun 3, 2019
    |

    Every industry has its unique lingo and the semiconductor industry is no different. Here, chips mean something a little different from our favorite snack and the word “fab” isn’t just used...

  • Frances Huang
    Communications Program Manager for Taiwan at Lam Research
    Group of Books Image
    |
    May 28, 2019
    |

    We have always encouraged our employees to imagine the unimaginable. With a company-wide monthly book series and an online library, we help employees cultivate their creative and innovative minds....

  • Kerry Farrell
    Senior Director of Corporate Communications at Lam Research
    Lam Scaling Up Bar Graph Image
    |
    May 19, 2019
    |

    Lam Research has been ranked number 287 on the 2019 Fortune 500, continuing its climb on the list since first debuting four years ago at number 491. Lam moved up 67 slots from last year and was...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube