MyLam
Advanced Search
  • Lam Blog Staff
    5 year anniversary logo
    |
    Jun 28, 2019
    |

    Five years ago, we published our first blog article here in the Lam newsroom. Over the years, we’ve used this space to share information about our company, our culture, and what it’s like to...

  • Sandra Liu
    SEMICON West logo
    |
    Jun 24, 2019
    |

    Gain insights, innovations, and intelligence in San Francisco this July 9-11 at the annual SEMICON West tradeshow. Industry leaders from around the world will gather for more than 80 hours of...

  • Jami Haaning
    A Manager for Corporate Social Responsibility at Lam Research
    Lam CSR cover photo
    |
    Jun 18, 2019
    |

    Lam’s 5th annual corporate social responsibility (CSR) report features updates on our continued progress against our 2020 environmental commitments, investments in our employees’ career...

  • Lam Blog Staff
    light graphic
    |
    Jun 10, 2019
    |

    Richard Gottscho, executive vice president and CTO of Lam Research, sat down with Semiconductor Engineering to talk about how to utilize more data from sensors in manufacturing equipment, the...

  • Lam Blog Staff
    A human looking closely at a microchip
    |
    Jun 3, 2019
    |

    Every industry has its unique lingo and the semiconductor industry is no different. Here, chips mean something a little different from our favorite snack and the word “fab” isn’t just used...

  • Frances Huang
    Communications Program Manager for Taiwan at Lam Research
    Group of Books Image
    |
    May 28, 2019
    |

    We have always encouraged our employees to imagine the unimaginable. With a company-wide monthly book series and an online library, we help employees cultivate their creative and innovative minds....

  • Kerry Farrell
    Senior Director of Corporate Communications at Lam Research
    Lam Scaling Up Bar Graph Image
    |
    May 19, 2019
    |

    Lam Research has been ranked number 287 on the 2019 Fortune 500, continuing its climb on the list since first debuting four years ago at number 491. Lam moved up 67 slots from last year and was...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    ECS Spring Meeting Speaker Image
    |
    May 13, 2019
    |

    Electrochemistry and solid state science and technology will be featured at the 235th ECS Meeting, to be held May 26–30 in Dallas, Texas. Over the week, nearly two thousand talks will be given...

  • Jiangtao Hu
    A technical director in the Advanced Equipment and Process Control group at Lam Research
    Digital numbers display 0563 micrograms
    |
    May 6, 2019
    |

    Building semiconductors is an incredibly exacting process, with critical dimensions posing significant equipment challenges – and with the possibility that small process excursions can cause the...

  • Clara Cheang
    Senior Communications Specialist for Southeast Asia at Lam Research
    SEMICON Southeast Asia Icon
    |
    Apr 29, 2019
    |

    On May 7, 2019, semiconductor leaders and industry experts from around the world will convene at the Malaysia International Trade & Exhibition Centre (MITEC) in Kuala Lumpur, Malaysia to showcase...

  • Lam Blog Staff
    A close-up of a wafer.
    |
    Apr 24, 2019
    |

    Addressing a need for self-maintaining semiconductor equipment, Lam has developed an etch productivity solution that extends the mean time between cleans (MTBC), a major limiter of etch system...

  • Jami Haaning
    A Manager for Corporate Social Responsibility at Lam Research
    Lam Earth Day April 2019
    |
    Apr 22, 2019
    |

    Earth Day is April 22, 2019 and offers a reminder of the actions we can take every day to protect the planet. Each year, the Earth Day Network selects a theme, and this year’s is focused on...

  • Kerry Farrell
    Senior Director of Corporate Communications at Lam Research
    CEO Tim Archer on stage
    |
    Apr 15, 2019
    |

    The semiconductor industry recently gathered at SEMICON China, one of the largest annual microelectronics tradeshows. Tim Archer, Lam’s president and CEO, gave a keynote on the continuing need...

  • Christie Valdez
    Director of Community Relations
    Lam Research Medals
    |
    Apr 8, 2019
    |

    Employees at Lam Research came together on March 23, 2019 in Santa Clara, CA for the sixth annual Lam Research Heart & Soles Run. Teaching children good health and fitness habits sets them on a...

  • Jasmine Rogers
    Marketing and Digital Communications Specialist at Lam Research
    Lam STEM Next Generation Icon
    |
    Apr 1, 2019
    |

    At Lam, we are passionate about sharing our success and inspiring the next generation of innovators. As a leading equipment supplier in the semiconductor industry, we encourage students to pursue...

  • Steve Proia
    A director of business development and sales at Silfex, a division of Lam Research
    Silicon rock image
    |
    Mar 25, 2019
    |

    More than most industries, ours is identified with a single element, silicon. Consider the self-adopted naming conventions of all the places that want to be recognized as members of the...

  • Michelle Bourke
    Smart Cars Tech Icon
    |
    Mar 19, 2019
    |

    New sensing and actuating technologies for cars are introducing a new generation of 200 mm tools. Michelle Bourke, strategic marketing director in the customer support group, shares her thoughts...

  • Lam Blog Staff
    Pi Pie Image
    |
    Mar 11, 2019
    |

    Well, it’s almost Pi (π) Day again! And once more, we find reasons to celebrate that most interesting number on March 14. Most of us remember that π is the ratio of a circle’s circumference...

  • Yan Hu
    Senior Communications Manager for China at Lam Research
    Semicon China 2019 Logo
    |
    Mar 4, 2019
    |

    At this year’s SEMICON China, industry leaders from around the globe will come together for this fast-growing and dynamic microelectronics market to view products, technologies and brands that...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    Pilotless Airplane Example Image
    |
    Feb 24, 2019
    |

    Sensing technology, computing, and artificial intelligence (AI) are revolutionizing the skies. In recent years, the military has been applying drone technology to pave the way for the pilotless...

  • Dana Gharda
    A director in university recruiting at Lam Research
    Lam Summer Internship Icon
    |
    Feb 19, 2019
    |

    At Lam, we see our interns as future leaders and we’ve designed programs to prepare them for that responsibility. By networking with big thinkers who challenge and inspire our students, to...

  • Shelly Miyasato, PhD
    Senior Technical Communications Manager at Lam Research
    Lam Conference Speaker Image
    |
    Feb 11, 2019
    |

    The SPIE Advanced Lithography symposium brings together leaders across the semiconductor industry to discuss the latest challenges in lithography and patterning. Held at the San Jose Convention...

  • Lam Blog Staff
    Lam Year of the Pig 2019 Icon
    |
    Feb 4, 2019
    |

    This week marks the beginning of the Lunar New Year and the “Year of the Pig.” In the spirit of this event, we’d like to share a little bit about the celebration and the outlook for the new...

  • Christie Valdez
    Director of Community Relations
    Lam Holiday Drive 2018 Icon
    |
    Jan 28, 2019
    |

    Employees at Lam are always eager to spread cheer and deliver joy to their communities, particularly around the holidays. This holiday season, as part of our 2018 Deliver Joy campaign, employees...

  • Bill Lee
    A senior marketing director in the deposition product group at Lam Research
    ALD Tungsten 3D Example Image
    |
    Jan 22, 2019
    |

    Our increasingly connected and ever “smarter” world generates increasing amounts of data, putting pressure on manufacturers who face new technical challenges in delivering the increasing...

Show 5102550100 per page
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube