Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
- |Sep 26, 2023|
Virtual fabrication is a powerful tool to perform sensitivity analysis and provide guidance for inline process spec control
- |Sep 14, 2023|
Lam Research has supported the National GEM Consortium and GEM interns since 2019. Students who complete their fellowship have a chance to join Lam full-time.
- |Aug 31, 2023|
Video games and virtual and augmented reality are driving demand for haptics. Lam’s pulsed laser deposition capability can enable next-gen haptics technology.
- |Aug 23, 2023|
BEOL module processing faces challenges as chipmakers move to the 3 nm node and beyond. A semi-damascene integration scheme with airgap structures may help reduce RC delay time.
- |Aug 9, 2023|
Lam Research and the Centre for Nano Science and Engineering (CeNSE) at the Indian Institute of Science (IISc) partner to train up to 60,000 semiconductor engineers.
- |Jul 14, 2023|
DRAM is following NAND in going 3D, but architecture needs are unique and challenging. 3D DRAM is needed to keep up with the demands of graphics cards, portable devices, and more. Here's an idea of how to architect it.
- |Jun 22, 2023|
Up to 60,000 engineers in India to be trained on Lam’s unique virtual fabrication platform
- |Jun 22, 2023|
New portfolio joins physical and virtual semiconductor worlds into a single ecosystem
- |Jun 20, 2023|
Addresses key manufacturing challenges and significantly improves wafer yield Builds on Lam's 15-year heritage of innovation in bevel solutions
- |May 25, 2023|
Achievement represents close collaboration with our customers and supply chain partners LMK joins Lam’s manufacturing operations in Livermore, Tualatin, and Taiwan in this milestone This week,...
- |May 2, 2023|
EVs can have almost twice as many microchips as combustion engine vehicles. Lam is a critical supplier for semiconductor manufacturers for EVs across all technologies.
- |Apr 12, 2023|
The journal Nature publishes Lam’s groundbreaking study. Rick Gottscho and Keren Kanarik share what it means for Lam and the semiconductor industry.
- |Mar 17, 2023|
System in Package (SiP) requirements push substrate designs to smaller features (similar to FO-PLP). Convergence in requirements allows shared R&D costs for panel level processing systems.
- |Mar 3, 2023|
Soumya has automated Lam’s product software testing and processes for 19 years. The software she works on allows us to validate the behavior of our tool's software at any fab remotely. Soumya...
- |Feb 16, 2023|
SEMICON Korea 2023 kicked off with a keynote speech, “Accelerating Innovation – From Lab to Fab,” delivered by Pat Lord, EVP of the Customer Support Business Group and Global Operations. Pat...
- |Feb 2, 2023|
Metryx marked 200th tool shipment by donating science equipment to a local high school
- |Nov 21, 2022|
“Engineering a Greener Fab” was the theme of the Lam Research Technical Symposium, Lam’s premiere forum for intellectual and scientific sharing.
- Lam Research Drives Technology Advancements for Next-Generation Semiconductors (SEMICON Taiwan 2022)|Nov 2, 2022|
Lam Research was the platinum sponsor of the 27th gathering of SEMICON Taiwan, held September 14 to 16. More than 45,000 attendees examined 2,450 exhibition booths showing the latest industry...
- |Aug 30, 2022|
John has 25 years in the industry and 49 patents to his name Multidisciplinary skills in dep and etch have led to groundbreaking work John Drewery has been named a Lam Research Fellow for...
- |Jul 21, 2022|
The electronics supply chain recently gathered together at SEMICON Southeast Asia, a premier event that promotes growth of the semiconductor and microelectronics ecosystem in the region. Held June...
- |Jul 12, 2022|
And yes, we’ve got a solution for that In the recent blog article Our wireless world – how Wi-Fi 6 will seamlessly integrate with 5G to keep us connected, David Haynes from our Customer...
- |Jul 7, 2022|
Lam Research will join fellow industry leaders from the extended electronics supply chain in a range of talks at the SEMICON West 2022 hybrid conference later this month. During the 52nd annual...
- |Jun 16, 2022|
Chips are everywhere: from our mobile phones and automobiles to cloud servers for artificial intelligence, all of which seem to get faster, smarter, and better with each generation. Creating more...
- |Jun 1, 2022|
It takes a lot of different types of process tools to make chips, from deposition, to lithographic to etch and to cleaning tools among others. Large scale production requires chipmakers to use...
- |Feb 9, 2022|
Over the past decade, the need for increasingly smaller, denser, more powerful chips has been driving semiconductor manufacturers to move away from planar structures in favor of increasingly...
- |Feb 9, 2022|
Today, I am proud and excited to officially announce the availability of three new precision selective etch innovations from Lam Research: Argos®, Prevos™, and Selis®. Designed to complement...
- |Dec 7, 2021|
The logic and memory chips that power our smart phones, laptops, gaming consoles and other favorite devices may be stealing all the headlines these days, but there are other types of...
- |Dec 2, 2021|
For decades, the semiconductor industry has been defined by our ability to innovate. Each time we’ve been faced with seemingly insurmountable technological challenges, we have invented...
- |Oct 11, 2021|
The ability to process data is foundational to our smart, connected world. Microprocessors, in turn, rely on memory chips to store the data they need while working. One of the most popular types...
- |Sep 13, 2021|
Lam recently achieved an impressive product milestone with the shipment of our 10,000th single wafer clean chamber. This marks an incredible journey from the SP100 single chamber product first...
- |Aug 24, 2021|
We play a critical role in driving semiconductor breakthroughs for the next generation. Since 2015, Unlock Ideas has been an integral program to unleash the power of innovation by providing gifts...
- |Aug 16, 2021|
From August 23-27, our experts will join industry leaders at SEMICON Southeast Asia, to discuss semiconductor advancements in an era of 5G and next-generation technologies. Themed “Powering...
- |Aug 9, 2021|
As a materials engineer, I am very proud of the fact that key advances in human civilization have been driven by materials innovation. The stone age, bronze age and iron age were all essential...
- |Jul 26, 2021|
There’s a lot of talk about the Internet of Things (IoT) and its impact on our day-to-day lives. From home security systems to smart watches, IoT is upgrading the technologies we rely on every...
- |Jul 19, 2021|
Helium gas is increasingly in short supply. While consumers may be most familiar with it for use in filling balloons, it is used much more heavily in a variety of industrial processes –...
- |Jul 12, 2021|
Back in 1981, Lam pioneered single-wafer plasma etch tools with its first product, the Lam AutoEtch 480 plasma etcher. Introduced as “a streamlined plasma etching system designed specifically...
- |Jul 6, 2021|
The IEEE International Interconnect Technology Conference (IITC) will be held July 6-9, 2021, as a hybrid event – virtual and in-person (Kyoto Research Park, Kyoto, Japan), with on-demand...
- |Jun 21, 2021|
Preventative Maintenance “What is wet, but dry?” might sound like a riddle, and the answer for plasma process tools are “wet cleans,” an important type of preventative maintenance. The...
- |Jun 14, 2021|
Chip features continue to shrink to incredibly small dimensions and different device architectures are being devised to supply consumers with more powerful electronics. Atomic layer deposition...
- |Jun 7, 2021|
The pervasive use of technology has brought about a tremendous growth in data as well as an explosion in scaling and complexity of integrated circuits. That trend continues as the focus on...
- |Jun 1, 2021|
We take microprocessors for granted. Most people who aren’t deeply involved in technology may have no idea all of the places where a microprocessor shows up in their lives. It’s not just their...
- |May 24, 2021|
Engineers, scientists, and industry professionals will attend the 239th Electrochemistry Society (ECS) Meeting and the 18th International Meeting on Chemical Sensors (IMCS), held May 30 to June 3....
- |May 10, 2021|
Silicon chip manufacturing has always included etching as a critical processing step. But, increasingly, extremely high-aspect-ratio etching has been needed for 3D flash and DRAM chips, which have...
- |Mar 1, 2021|
As chipmakers move to advanced technology nodes, they are challenged to resolve ever finer features. One of the major roadblocks involves the material used to transfer chip design to the wafer;...
- |Feb 22, 2021|
Lam Research collaborates with universities and academic research consortia by supporting research, building relationships, and assisting graduate and undergraduate students with thesis awards,...
- |Feb 16, 2021|
The latest developments in patterning will be on stage at the upcoming SPIE Advanced Lithography, held as a digital forum February 22-26. Patterning – the set of steps that transfers chip...
- |Jan 27, 2021|
With more than a decade of industry leadership in high aspect ratio etching, Lam now introduces Vantex™, the latest in dielectric etch technology. Designed from the ground up specifically for...
- |Jan 19, 2021|
To kick-off the new year, SEMICON Korea is bringing together industry leaders throughout the semiconductor supply chain. Held February 3-12, participants can learn more about artificial...
- |Jan 4, 2021|
To kick-off the new year, we thought we’d share some of our favorite stories from 2020. While not exhaustive, this short list features articles that help put technology concepts into perspective...
- |Nov 30, 2020|
Filling complex, high aspect ratio structures is a challenge for 3D NAND, DRAM, and logic chipmakers. Lam’s Aaron Fellis, vice president and general manager of dielectric atomic layer deposition...
- |Oct 26, 2020|
When they were first commercialized at the 22 nm node, finFETs represented a revolutionary change to the way we build transistors, the tiny switches in the “brains” of a chip. As compared to...
- |Oct 19, 2020|
Semiconductor process development is no easy task, with each generation of devices more difficult and expensive to create. Traditional cycles of build-and-test development are becoming obsolete,...
- |Oct 12, 2020|
Lam’s newest product in its line of GAMMA® dry photoresist strip systems, brings the performance of the GAMMA® GxT® 300 mm to 200 mm wafer processing. The GAMMA GxT has been specifically...
- |Sep 21, 2020|
In today’s press release below, Lam announced the new Striker® FE platform featuring its patented ICEFill™ technology, which provides bottom-up filling in complex high aspect ratio (HAR)...
- |Sep 8, 2020|
Taiwan’s largest semiconductor convention is bringing together the industry’s brightest minds for a three-day exhibition. Held September 23-25 at the Taipei Nangang Exhibition Center, Hall 1...
- |Aug 10, 2020|
Diagnosis of any kind requires the synthesis of information. As humans we are much better at doing this when we have ways of visualizing information versus looking at numbers and statistics,...
- |Aug 3, 2020|
SEMICON Southeast Asia, the region’s premier electronics manufacturing supply chain exposition and conference, has gone virtual. Industry experts from around the world will share insights on...
- |Jul 27, 2020|
Lam CEO Tim Archer recently presented a compelling vision of the future at SEMICON West along with key industry leaders and influencers. Tim spoke of the pioneering work Lam Research has done over...
- |Jul 6, 2020|
The semiconductor industry’s flagship event, SEMICON West, is going virtual this year. Celebrating its 50th year, the show promises a full exhibition experience, featuring high level keynotes,...
- |Jun 30, 2020|
A key semiconductor trade show and forum, SEMICON China, was held June 27–29 at the Shanghai New International Expo Centre. Lam was pleased to be a sponsor of this event, which encompassed the...
- |Jun 15, 2020|
The 20th International Conference on Atomic Layer Deposition (ALD 2020) featuring the 7th International Atomic Layer Etching Workshop (ALE 2020) will take place Monday, June 29–Wednesday, July...
- |May 28, 2020|
Lam Chief Technology Officer Rick Gottscho sat down with Semiconductor Engineering to share his insights on memory and equipment scaling, new market demands, and changes in manufacturing being...
- |May 11, 2020|
As integrated circuit designers bring more sophisticated chip functionality into smaller spaces, heterogeneous integration, including 3D stacking of devices, becomes an increasingly useful and...
- |Mar 16, 2020|
Lam Executive Vice President and Chief Technology Officer, Richard A. Gottscho, gave a keynote at the SEMI Industry Strategy Symposium (ISS), the annual executive conference for the semiconductor...
- |Mar 3, 2020|
Building on four decades of etch industry leadership, Lam today launched a new plasma etch technology and system solution. The new Sense.i™ platform features Lam’s Equipment Intelligence®...
- |Feb 26, 2020|
Addressing critical needs for next-generation device scaling, Lam today introduced a new dry photoresist technology that offers significant improvement in EUV lithography resolution, productivity...
- |Feb 10, 2020|
The full spectrum of patterning topics will be discussed at the upcoming SPIE Advanced Lithography symposium. Held at the San Jose Convention Center on February 23-27, 2020, the event features...
- |Jan 13, 2020|
[Notice] SEMICON KOREA 2020 Is Canceled SEMICON Korea 2020, which was scheduled to be held from Feb 5 to Feb 7 by SEMI KOREA, will not take place as planned. You can find the details at the...
- |Jan 6, 2020|
2019 was quite a year for the Lam Blog. From sharing an inside peek at our favorite day of the year, Bring Our Children to Work Day, to showcasing our innovative technology and productivity...
- |Dec 16, 2019|
The IoT and automotive markets use devices fabricated at a wide range of technology nodes. Taking advances made at 300 mm and applying them via upgrades to 200 mm equipment is a cost appropriate...
- |Dec 3, 2019|
Addressing the critical need for higher wafer edge yield, Lam has introduced new capabilities in its Corvus® etch and Coronus® plasma bevel clean systems. Chipmakers have long sought to overcome...
- |Nov 22, 2019|
It is an exciting time to be in the semiconductor industry and driving technology development which is fueling the intelligent, interconnected systems that will transform the way we work and live....
- |Nov 18, 2019|
Atomic layer etching and deposition processes offer atomic scale control through the use of self-limiting reactions. Yang Pan, corporate vice president of advanced technology development, shares...
- |Oct 30, 2019|
The SEMICON Europa tradeshow, the annual premier event for the global electronics industry in Europe, will take place November 12-15, 2019, at Messe München in Munich, Germany. The event connects...
- |Oct 28, 2019|
Moore’s Law has been the center of the semiconductor universe, dominated by a singular focus and a relentless drive to reduce transistor size and integrate more, smaller, faster transistors on...
- |Oct 24, 2019|
Technology experts and business leaders will be heading to the Advanced Semiconductor Technology Conference (ASTC) 2019, organized by SEMI Southeast Asia. Held November 7-8, 2019 at the Marina Bay...
- |Oct 7, 2019|
The science and technology of materials, interfaces, and processing will be in the spotlight at the AVS 66th International Symposium and Exhibition, being held October 20-25 in Columbus, Ohio....
- |Sep 30, 2019|
The 21st Semiconductor Exhibition (SEDEX) will be held this year at COEX in Seoul, Korea, October 8-11. The tradeshow will cover the full spectrum of the semiconductor industry supply chain; it...
- |Sep 30, 2019|
The fall meeting of the Electrochemical Society will be held October 13-17 in Atlanta, Georgia. A forum for the latest scientific and technical developments in both electrochemistry and solid...
- |Sep 9, 2019|
The largest microelectronics event in Taiwan is coming to TaiNEX this fall, welcoming more than 45,000 visitors from around the world. From September 18-20, leaders from the semiconductor industry...
- |Sep 3, 2019|
At an industry and investor event held during the August 2019 Flash Memory Summit, Lam management made the case for why semiconductor innovation needs to accelerate in the 5G era and how Lam is...
- |Aug 7, 2019|
With the introduction of two new products for 3D NAND manufacturing, Lam expands its stress management product portfolio. The VECTOR DT® PECVD and EOS® GS wet etch systems enable continued 3D...
- |Jul 15, 2019|
Food security is a growing concern. With the world’s population forecast to increase from 7.6 billion in 2017 to 9.8 billion by 2050, it’s estimated that an additional billion metric tons of...
- |Jul 12, 2019|
The rapid pace of semiconductor evolution places huge demands on the companies making the equipment used to build integrated circuits. With each new silicon node comes a host of new requirements,...
- |Jul 8, 2019|
The AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) featuring the 6th International Atomic Layer Etching Workshop (ALE 2019) will take place Sunday, July 21–Wednesday,...
- |Jun 24, 2019|
Gain insights, innovations, and intelligence in San Francisco this July 9-11 at the annual SEMICON West tradeshow. Industry leaders from around the world will gather for more than 80 hours of...
- |Jun 10, 2019|
Richard Gottscho, executive vice president and CTO of Lam Research, sat down with Semiconductor Engineering to talk about how to utilize more data from sensors in manufacturing equipment, the...
- |May 13, 2019|
Electrochemistry and solid state science and technology will be featured at the 235th ECS Meeting, to be held May 26–30 in Dallas, Texas. Over the week, nearly two thousand talks will be given...
- |May 6, 2019|
Building semiconductors is an incredibly exacting process, with critical dimensions posing significant equipment challenges – and with the possibility that small process excursions can cause the...
- |Apr 29, 2019|
On May 7, 2019, semiconductor leaders and industry experts from around the world will convene at the Malaysia International Trade & Exhibition Centre (MITEC) in Kuala Lumpur, Malaysia to showcase...
- |Apr 24, 2019|
Addressing a need for self-maintaining semiconductor equipment, Lam has developed an etch productivity solution that extends the mean time between cleans (MTBC), a major limiter of etch system...
- |Mar 25, 2019|
More than most industries, ours is identified with a single element, silicon. Consider the self-adopted naming conventions of all the places that want to be recognized as members of the...
- |Mar 19, 2019|
New sensing and actuating technologies for cars are introducing a new generation of 200 mm tools. Michelle Bourke, strategic marketing director in the customer support group, shares her thoughts...
- |Mar 4, 2019|
At this year’s SEMICON China, industry leaders from around the globe will come together for this fast-growing and dynamic microelectronics market to view products, technologies and brands that...
- |Feb 11, 2019|
The SPIE Advanced Lithography symposium brings together leaders across the semiconductor industry to discuss the latest challenges in lithography and patterning. Held at the San Jose Convention...
- |Jan 22, 2019|
Our increasingly connected and ever “smarter” world generates increasing amounts of data, putting pressure on manufacturers who face new technical challenges in delivering the increasing...
- |Jan 10, 2019|
As one of the largest tradeshows and exhibitions in the region, SEMICON Korea brings together the best and the brightest of the semiconductor industry. Held from January 23 to January 25 at COEX,...
- |Jan 2, 2019|
Welcome back to the Lam Blog! To help start the new year, we want to share some of our favorite stories from 2018. Some of these articles highlight the driving forces in our industry, such as...
- |Dec 10, 2018|
Parents sharing stories about their childhoods and the miles of snow they had to walk through to get to school is not a new pastime. In fact, these anecdotes are often used to compare current...
- |Dec 3, 2018|
Robotics is the next technological revolution. Fiber optics, cables, and chips are shaping and directing our future. Here, we review some robotic milestones and take a peek into Lam’s commitment...