Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
Su | Mo | Tu | We | Th | Fr | Sa |
---|---|---|---|---|---|---|
- |Oct 8, 2024|
Deposition is when a thin layer of material is added to the surface of a wafer Each deposition process varies depending on the material and the purpose it serves on a chip The Semi 101 series is a...
- |Sep 18, 2024|
By leveraging compact and neural network models, we predict capacitance performance with unprecedented accuracy.
- |Sep 12, 2024|
Lam Research offers a broad array of industry-leading tools and solutions designed to scale high-bandwidth memory (HBM).
- |Sep 4, 2024|
Sometimes the technology behind the scenes is just as impressive as the one that gets all the attention. So, it is with Generative AI. While most everyone seems to be falling over themselves...
- |Aug 28, 2024|
CheePing Lee explains how Lam Research is at the forefront of pioneering solutions like high-bandwidth memory (HBM) and hybrid bonding
- |Aug 27, 2024|
Digital twins help increase precision, affordability, sustainability, and speed-to-solution. Semiverse Solutions helps find the best process flow or recipe out of infinite combinations.
- |Aug 21, 2024|
The field of TCAD, once a humble tool in the vast landscape of semiconductor technology, has unveiled its invaluable riches
- |Aug 20, 2024|
Lam’s Equipment Intelligence® was recognized as the 2024 Innovative Product of the Year in the AI/Machine Learning category at the Sensors Awards
- |Aug 15, 2024|
Learn about three key semiconductor markets and Lam’s role in supporting our customers Find out what innovative technology is driving each market and Lam’s tools that help enable it Lam...
- |Aug 14, 2024|
To harness full potential of AI, we must contend with several grand challenges to enable 1,000-layer scaling.
- |Aug 8, 2024|
“Scaling to 1000-Layer 3D NAND in the AI Era" is a pivotal white paper written by Counterpoint Research and sponsored by Lam Research.
- |Aug 7, 2024|
Discover how Lam Research is achieving unprecedented storage capacities and speeds with their 1,000-layer 3D NAND chips.
- |Jul 31, 2024|
Lam Cryo™ 3.0 builds on 20 years of pioneering memory etch technology and five years of production proven cryogenic etch technologies. The tool overcomes manufacturing challenges in scaling 3D NAND vertically, laterally, and logically.
- |Jul 23, 2024|
Lam Research has been an etch leader for decades, including by pioneering technology in cryo etch.
- |Jul 16, 2024|
On how to reduce transistor capacitance at the 5nm node using a source/drain contact recess.
- |Jun 24, 2024|
Explore the critical role of semiconductor innovation in the AI revolution with our in-depth white paper, highlighting the transformative effects of AI and the future of chiplet-based solutions.
- |Jun 20, 2024|
Abstract As conventional DRAM devices continue to shrink, increases in parasitic capacitance at smaller dimensions can negatively impact device performance.
- |Jun 18, 2024|
Pulsus enables the deposition of high-quality, highly scandium-doped AlScN films
- |Jun 12, 2024|
Etching is critical for creating complex structures and architectures for the AI era
- |Jun 7, 2024|
The event is the only startup competition with a monetary award for semiconductor startups
- |Jun 6, 2024|
Her expertise in physical chemistry, process engineering, and business strategy help shape our industry. A new appointment on a prestigious committee extends Lam’s influence.
- |May 28, 2024|
This study demonstrates how deposition-etch cycling can reduce LER and improve device performance.
- |May 20, 2024|
The requirements of the AI era demand integrated core chips, driving vertical integration. Chip makers are just now beginning limited production of 3D packaging.
- |Apr 23, 2024|
Lam plays a significant role in plasma science by collaborating with researchers, supporting the Allis Prize, and employing physics, chemistry, and engineering students and experts.
- |Apr 16, 2024|
Abstract In this article, we demonstrate a pathfinding technique for a novel Vertical DRAM technology. First, we identify important process parameters (defined by current semiconductor production...
- |Apr 12, 2024|
Li Fei Sun won for her paper on smart tools and ML solutions in semiconductor manufacturing In the past four years, Lam engineers have won the top award three times At Lam Research, we take pride...
- |Mar 26, 2024|
Introducing Pulsus, Lam's cutting-edge pulsed laser deposition tool. PLD enables specialty technologies like RF filters and MEMS microphones.
- |Mar 21, 2024|
There are still many challenges to be overcome before CFET designs become mainstream, but this new 3D architecture represents a promising new direction for the future of computing.
- |Mar 19, 2024|
Interest in artificial intelligence is driving data storage expansion for memory makers. Advancements in semiconductor manufacturing etch and deposition, and advanced packaging are playing a...
- |Mar 11, 2024|
One-of-a-kind contest supports semiconductor startups with investment and exposure
- |Feb 22, 2024|
On the use and benefits of virtual fabrication in the development of DRAM saddle fin profiles
- |Feb 1, 2024|
On the use of molybdenum as a promising candidate to replace tungsten in the semiconductor industry to keep pace with Moore's Law
- |Jan 23, 2024|
We explore the causes and implications of asymmetric wafer defects in semiconductor manufacturing. We also consider the use of virtual process modeling to understand and mitigate these structural failures.
- |Jan 18, 2024|
Semiconductor manufacturers scale new heights as they embrace vertical integration. Lam’s Metior succeeds where optical measuring falls short.
- |Dec 12, 2023|
The potential of Resistive Random Access Memory (ReRAM) as an alternative to SRAM for on-chip memory in advanced CPU applications.
- |Nov 20, 2023|
Virtual process development tools can accelerate the identification of process hotspots. These techniques lead to cost savings and improved yields in chip manufacturing.
- |Nov 8, 2023|
Summary: The rise of Artificial Intelligence (AI) has placed significant demands on semiconductor performance, particularly in the realm of etching technology. AI requires massive amounts of data...
- |Oct 25, 2023|
Enables more efficient process development via high-fidelity plasma simulation
- |Oct 19, 2023|
The semiconductor industry is searching for alternative metal line materials to replace copper due to the increasing barrier size and resistivity issues. Ruthenium (Ru) has shown promise as a potential replacement, outperforming copper and cobalt (Co) at smaller dimensions.
- |Sep 26, 2023|
Virtual fabrication is a powerful tool to perform sensitivity analysis and provide guidance for inline process spec control
- |Sep 14, 2023|
Lam Research has supported the National GEM Consortium and GEM interns since 2019. Students who complete their fellowship have a chance to join Lam full-time.
- |Aug 31, 2023|
Video games and virtual and augmented reality are driving demand for haptics. Lam’s pulsed laser deposition capability can enable next-gen haptics technology.
- |Aug 23, 2023|
BEOL module processing faces challenges as chipmakers move to the 3 nm node and beyond. A semi-damascene integration scheme with airgap structures may help reduce RC delay time.
- |Aug 9, 2023|
Lam Research and the Centre for Nano Science and Engineering (CeNSE) at the Indian Institute of Science (IISc) partner to train up to 60,000 semiconductor engineers.
- |Jul 14, 2023|
DRAM is following NAND in going 3D, but architecture needs are unique and challenging. 3D DRAM is needed to keep up with the demands of graphics cards, portable devices, and more. Here's an idea of how to architect it.
- |Jun 22, 2023|
Up to 60,000 engineers in India to be trained on Lam’s unique virtual fabrication platform
- |Jun 22, 2023|
New portfolio joins physical and virtual semiconductor worlds into a single ecosystem
- |Jun 20, 2023|
Addresses key manufacturing challenges and significantly improves wafer yield Builds on Lam's 15-year heritage of innovation in bevel solutions
- |Jun 14, 2023|
As transistor sizes shrink, short channel effects make it more difficult for transistor gates to turn a transistor ON and OFF [1]. One method to overcome this problem is to move away from planar...
- |May 30, 2023|
As DRAM technology nodes have scaled down, access transistor issues have been highlighted due to weak gate controllability. Saddle Fins with Buried Channel Array Transistors (BCAT) have...
- |May 25, 2023|
Achievement represents close collaboration with our customers and supply chain partners LMK joins Lam’s manufacturing operations in Livermore, Tualatin, and Taiwan in this milestone This week,...
- |May 2, 2023|
EVs can have almost twice as many microchips as combustion engine vehicles. Lam is a critical supplier for semiconductor manufacturers for EVs across all technologies.
- |Apr 13, 2023|
Introduction In logic devices such as FinFETs (field-effect transistors), metal gate parasitic capacitance can negatively impact electrical performance. One way to reduce this parasitic...
- |Apr 12, 2023|
The journal Nature publishes Lam’s groundbreaking study. Rick Gottscho and Keren Kanarik share what it means for Lam and the semiconductor industry.
- |Mar 22, 2023|
Introduction Cu’s resistivity depends on its crystal structure, void volume, grain boundaries and material interface mismatch, which becomes more significant at smaller scales. The formation of...
- |Mar 17, 2023|
System in Package (SiP) requirements push substrate designs to smaller features (similar to FO-PLP). Convergence in requirements allows shared R&D costs for panel level processing systems.
- |Mar 3, 2023|
Soumya has automated Lam’s product software testing and processes for 19 years. The software she works on allows us to validate the behavior of our tool's software at any fab remotely. Soumya...
- |Feb 28, 2023|
With decreasing dynamic random-access memory (DRAM) cell sizes, DRAM process development has become increasingly difficult. Bit-line (BL) sensing margins and refresh times have become problematic...
- |Feb 16, 2023|
SEMICON Korea 2023 kicked off with a keynote speech, “Accelerating Innovation – From Lab to Fab,” delivered by Pat Lord, EVP of the Customer Support Business Group and Global Operations. Pat...
- |Feb 2, 2023|
Metryx marked 200th tool shipment by donating science equipment to a local high school
- |Jan 13, 2023|
Line edge roughness (LER) can occur during the exposure step in lithography [1-2]. Similarly, etch and deposition process steps can leave a roughness on semiconductor surfaces. LER is a stochastic...
- |Dec 19, 2022|
At the beginning of my career in semiconductor equipment, the backside of the wafer was a source of anxiety. In one memorable instance in my early career, several wafers flew off a robot blade...
- |Nov 21, 2022|
“Engineering a Greener Fab” was the theme of the Lam Research Technical Symposium, Lam’s premiere forum for intellectual and scientific sharing.
- |Nov 8, 2022|
Introduction The semiconductor industry has been focused on scaling and developing advanced technologies using advanced etch tools and techniques. With decreasing semiconductor device dimensions...
- Lam Research Drives Technology Advancements for Next-Generation Semiconductors (SEMICON Taiwan 2022)|Nov 2, 2022|
Lam Research was the platinum sponsor of the 27th gathering of SEMICON Taiwan, held September 14 to 16. More than 45,000 attendees examined 2,450 exhibition booths showing the latest industry...
- |Oct 27, 2022|
Reducing the parasitic capacitance between the gate metal and the source/drain contact of a transistor can decrease device switching delays. One way to reduce parasitic capacitance is to reduce...
- |Sep 22, 2022|
With continuous device scaling, process windows have become narrower and narrower due to smaller feature sizes and greater process step variability [1]. A key task during the R&D stage of...
- |Aug 30, 2022|
John has 25 years in the industry and 49 patents to his name Multidisciplinary skills in dep and etch have led to groundbreaking work John Drewery has been named a Lam Research Fellow for...
- |Aug 18, 2022|
Introduction BEOL metal line RC delay has become a dominant factor that limits chip performance at advanced nodes [1]. Smaller metal line pitches require a narrower line CD and line-to-line...
- |Jul 21, 2022|
The electronics supply chain recently gathered together at SEMICON Southeast Asia, a premier event that promotes growth of the semiconductor and microelectronics ecosystem in the region. Held June...
- |Jul 15, 2022|
Modern semiconductor processes are extremely complicated and involve thousands of interacting individual process steps. During the development of these process steps, roadblocks and barriers are...
- |Jul 12, 2022|
And yes, we’ve got a solution for that In the recent blog article Our wireless world – how Wi-Fi 6 will seamlessly integrate with 5G to keep us connected, David Haynes from our Customer...
- |Jul 7, 2022|
Lam Research will join fellow industry leaders from the extended electronics supply chain in a range of talks at the SEMICON West 2022 hybrid conference later this month. During the 52nd annual...
- |Jun 16, 2022|
Chips are everywhere: from our mobile phones and automobiles to cloud servers for artificial intelligence, all of which seem to get faster, smarter, and better with each generation. Creating more...
- |Jun 1, 2022|
It takes a lot of different types of process tools to make chips, from deposition, to lithographic to etch and to cleaning tools among others. Large scale production requires chipmakers to use...
- |Mar 14, 2022|
Introduction As we approach the 1.5nm node and beyond, new BEOL device integration challenges will be presented. These challenges include the need for smaller metal pitches, along with support for...
- |Feb 11, 2022|
Design of Experiments (DOE) is a powerful concept in semiconductor engineering research and development. DOEs are sets of experiments used to explore the sensitivity of experimental variables and...
- |Feb 9, 2022|
Over the past decade, the need for increasingly smaller, denser, more powerful chips has been driving semiconductor manufacturers to move away from planar structures in favor of increasingly...
- |Feb 9, 2022|
Today, I am proud and excited to officially announce the availability of three new precision selective etch innovations from Lam Research: Argos®, Prevos™, and Selis®. Designed to complement...
- |Jan 4, 2022|
When I was a small child, I remember watching a 1966 American sci-fi adventure movie called “Fantastic Voyage” (the Korean title was “Micro Squad”) on an old black-and-white TV. The...
- |Dec 7, 2021|
The logic and memory chips that power our smart phones, laptops, gaming consoles and other favorite devices may be stealing all the headlines these days, but there are other types of...
- |Dec 2, 2021|
For decades, the semiconductor industry has been defined by our ability to innovate. Each time we’ve been faced with seemingly insurmountable technological challenges, we have invented...
- |Oct 11, 2021|
The ability to process data is foundational to our smart, connected world. Microprocessors, in turn, rely on memory chips to store the data they need while working. One of the most popular types...
- |Sep 13, 2021|
Lam recently achieved an impressive product milestone with the shipment of our 10,000th single wafer clean chamber. This marks an incredible journey from the SP100 single chamber product first...
- |Aug 24, 2021|
We play a critical role in driving semiconductor breakthroughs for the next generation. Since 2015, Unlock Ideas has been an integral program to unleash the power of innovation by providing gifts...
- |Aug 16, 2021|
From August 23-27, our experts will join industry leaders at SEMICON Southeast Asia, to discuss semiconductor advancements in an era of 5G and next-generation technologies. Themed “Powering...
- |Aug 9, 2021|
As a materials engineer, I am very proud of the fact that key advances in human civilization have been driven by materials innovation. The stone age, bronze age and iron age were all essential...
- |Jul 26, 2021|
There’s a lot of talk about the Internet of Things (IoT) and its impact on our day-to-day lives. From home security systems to smart watches, IoT is upgrading the technologies we rely on every...
- |Jul 19, 2021|
Helium gas is increasingly in short supply. While consumers may be most familiar with it for use in filling balloons, it is used much more heavily in a variety of industrial processes –...
- |Jul 12, 2021|
Back in 1981, Lam pioneered single-wafer plasma etch tools with its first product, the Lam AutoEtch 480 plasma etcher. Introduced as “a streamlined plasma etching system designed specifically...
- |Jul 6, 2021|
The IEEE International Interconnect Technology Conference (IITC) will be held July 6-9, 2021, as a hybrid event – virtual and in-person (Kyoto Research Park, Kyoto, Japan), with on-demand...
- |Jun 21, 2021|
Preventative Maintenance “What is wet, but dry?” might sound like a riddle, and the answer for plasma process tools are “wet cleans,” an important type of preventative maintenance. The...
- |Jun 14, 2021|
Chip features continue to shrink to incredibly small dimensions and different device architectures are being devised to supply consumers with more powerful electronics. Atomic layer deposition...
- |Jun 7, 2021|
The pervasive use of technology has brought about a tremendous growth in data as well as an explosion in scaling and complexity of integrated circuits. That trend continues as the focus on...
- |Jun 1, 2021|
We take microprocessors for granted. Most people who aren’t deeply involved in technology may have no idea all of the places where a microprocessor shows up in their lives. It’s not just their...
- |May 24, 2021|
Engineers, scientists, and industry professionals will attend the 239th Electrochemistry Society (ECS) Meeting and the 18th International Meeting on Chemical Sensors (IMCS), held May 30 to June 3....
- |May 10, 2021|
Silicon chip manufacturing has always included etching as a critical processing step. But, increasingly, extremely high-aspect-ratio etching has been needed for 3D flash and DRAM chips, which have...
- |Mar 1, 2021|
As chipmakers move to advanced technology nodes, they are challenged to resolve ever finer features. One of the major roadblocks involves the material used to transfer chip design to the wafer;...
- |Feb 22, 2021|
Lam Research collaborates with universities and academic research consortia by supporting research, building relationships, and assisting graduate and undergraduate students with thesis awards,...
- |Feb 16, 2021|
The latest developments in patterning will be on stage at the upcoming SPIE Advanced Lithography, held as a digital forum February 22-26. Patterning – the set of steps that transfers chip...